Universiti Teknologi Malaysia Institutional Repository

Graph processing hardware accelerator for shortest path algorithms in nanometer very large-scale integration interconnect routing

Ch'ng, Heng Sun (2007) Graph processing hardware accelerator for shortest path algorithms in nanometer very large-scale integration interconnect routing. Masters thesis, Universiti Teknologi Malaysia, Faculty of Electrical Engineering.

[img]
Preview
PDF
307kB

Official URL: http://dms.library.utm.my:8080/vital/access/manage...

Abstract

Graphs are pervasive data structures in computer science, and algorithms working with them are fundamental to the field. Many challenging problems in Very Large-Scale Integration (VLSI) physical design automation are modeled using graphs. The routing problems in VLSI physical design are, in essence, shortest path problems in special graphs. It has been shown that the performance of a graph-based shortest path algorithm can severely be affected by the performance of its priority queue. This thesis proposes a graph processing hardware accelerator for shortest path algorithms applied in nanometer VLSI interconnect routing problems. A custom Graph Processing Unit (GPU), in which a hardware priority queue accelerator is embedded, designed and prototyped in a Field Programmable Gate Array (FPGA) based hardware platform. The proposed hardware priority queue accelerator is designed to be parameterizable and theoretically cascadable. It is also designed for high performance and it exhibits a run-time complexity for an INSERT (or EXTRACT) queue operation that is constant. In order to utilize the high performance hardware priority queue module, modifications have to be made on the graph-based shortest path algorithm. In hardware, the priority queue size is constrained by the available logic resources. Consequently, this thesis also proposes a hybrid softwarehardware priority queue which redirects priority queue entries to software priority queue when the hardware priority queue module exceeds its queue size limit. For design validation and performance test purposes, a computationally expensive VLSI interconnect routing Computer Aided Design (CAD) module is developed. Results of the performance tests on the proposed hardware graph accelerator, graph computations are significantly improved in terms of algorithm complexity and execution speed.

Item Type:Thesis (Masters)
Additional Information:Thesis (Master of Engineering (Electrical)) - Universiti Teknologi Malaysia, 2007; Supervisor : Prof. Dr. Mohamed Khalil Mohd. Hani
Uncontrolled Keywords:computer science, Very Large-Scale Integration (VLSI), Graph Processing Unit (GPU)
Subjects:T Technology > TK Electrical engineering. Electronics Nuclear engineering
Divisions:Electrical Engineering
ID Code:6381
Deposited By: Narimah Nawil
Deposited On:07 Oct 2008 05:51
Last Modified:26 Aug 2018 04:45

Repository Staff Only: item control page