Universiti Teknologi Malaysia Institutional Repository

DTAPO: Dynamic thermal-aware performance optimization for dark silicon many-core systems

Mohammed, Mohammed Sultan and Al Kubati, Ali A. M. and Paraman, Norlina and Ab. Rahman, Ab. Al Hadi and Marsono, M. N. (2020) DTAPO: Dynamic thermal-aware performance optimization for dark silicon many-core systems. Electronics (Switzerland), 9 (11). pp. 1-18. ISSN 2079-9292

[img]
Preview
PDF
2MB

Official URL: http://dx.doi.org/10.3390/electronics9111980

Abstract

Future many-core systems need to handle high power density and chip temperature effectively. Some cores in many-core systems need to be turned off or ‘dark’ to manage chip power and thermal density. This phenomenon is also known as the dark silicon problem. This problem prevents many-core systems from utilizing and gaining improved performance from a large number of processing cores. This paper presents a dynamic thermal-aware performance optimization of dark silicon many-core systems (DTaPO) technique for optimizing dark silicon a many-core system performance under temperature constraint. The proposed technique utilizes both task migration and dynamic voltage frequency scaling (DVFS) for optimizing the performance of a many-core system while keeping system temperature in a safe operating limit. Task migration puts hot cores in low-power states and moves tasks to cooler dark cores to aggressively reduce chip temperature while maintaining high overall system performance. To reduce task migration overhead due to cold start, the source core (i.e., active core) keeps its L2 cache content during the initial migration phase. The destination core (i.e., dark core) can access it to reduce the impact of cold start misses. Moreover, the proposed technique limits tasks migration among cores that share the last level cache (LLC). In the case of major thermal violation and no cooler cores being available, DVFS is used to reduce the hot cores temperature gradually by reducing their frequency. Experimental results for different threshold temperatures show that DTaPO can keep the average system temperature below the thermal limit. Affirmatively, the execution time penalty is reduced by up to 18% compared with using only DVFS for all thermal thresholds. Moreover, the average peak temperature is reduced by up to 10.8◦ C. In addition, the experimental results show that DTaPO improves the system’s performance by up to 80% compared to optimal sprinting patterns (OSP) and reduces the temperature by up to 13.6◦ C.

Item Type:Article
Uncontrolled Keywords:Dark silicon, Power states
Subjects:T Technology > TK Electrical engineering. Electronics Nuclear engineering
Divisions:Electrical Engineering
ID Code:90459
Deposited By: Widya Wahid
Deposited On:30 Apr 2021 14:41
Last Modified:30 Apr 2021 14:41

Repository Staff Only: item control page