Universiti Teknologi Malaysia Institutional Repository

Simplified VHDL coding of modified non-restoring square root calculator

Sutikno, Tole and Jidin, Aiman Zakwan and Jidin, Auzani and Nik Idris, Nik Rumzi (2012) Simplified VHDL coding of modified non-restoring square root calculator. International Journal of Reconfigurable and Embedded Systems (IJRES), 1 (1). pp. 37-42. ISSN 2089-4864

Full text not available from this repository.

Official URL: http://www.iaescore.com/journals/index.php/IJRES/a...

Abstract

Square root calculation is one of the most useful and vital operation in digital signal processing which in recent generations of processors, the operation is performed by the hardware. The hardware implementation of the square root operation can be achieved by different means, but it is very dependent on programmer's sense and ability to write efficient hardware designs. This paper offers universal and shortest VHDL coding of modified non-restoring square root calculator. The main principle of the method is similar with conventional non-restoring algorithm, but it only uses subtract operation and append 01, while add operation and append 11 is not used. The strategy has conducted to implement successfully in FPGA hardware, and offer an efficient in hardware resource, and it is superior.

Item Type:Article
Uncontrolled Keywords:Non-Restoring Algorithm, Pipelined Architecture, Square Root Calculation
Subjects:T Technology > TK Electrical engineering. Electronics Nuclear engineering
Divisions:Electrical Engineering
ID Code:33049
Deposited By: Fazli Masari
Deposited On:05 May 2014 03:59
Last Modified:29 Jan 2019 06:01

Repository Staff Only: item control page