Universiti Teknologi Malaysia Institutional Repository

FPGA implementation of CPFSK modulation techniques for HF data communication

Jaswar, Fitri Dewi and Sha'ameri, Ahmad Zuri (2003) FPGA implementation of CPFSK modulation techniques for HF data communication. In: The 9th Asia-Pacific Conference on Communications, 2003.

[img] PDF
194kB

Official URL: http://ieeexplore.org

Abstract

Digital modulation based on FSK is widely used in HF data communication. This is due to simplicity in implementation by noncoherent detection and robustness due noise and phase synchronization error.Hardware based design using FF'GA can reduced system size. The proposed modulation integrates holh the transmitter and receiver modules into a single FPGA. Further reduction in components is achieved hy adopting a multiplierless and parallel algorithm at the receiver module. This is proven by comparing with conventional noncoherent detection algorithm.

Item Type:Conference or Workshop Item (Paper)
Subjects:T Technology > TK Electrical engineering. Electronics Nuclear engineering
Divisions:Electrical Engineering
ID Code:2097
Deposited By: Dr Zaharuddin Mohamed
Deposited On:23 Mar 2007 00:41
Last Modified:31 Jan 2012 04:40

Repository Staff Only: item control page